The Architecture

We'll start, logically, at the front end of a Bulldozer module. The fetch and decode logic in each module is shared by both integer cores. The role this logic plays is to fetch the next instruction in the thread being executed, decode the x86 instruction into AMD's own internal format, and pass the decoded instruction onto the scheduling hardware for execution.

AMD widened the K8 front end with Bulldozer. Each module is now able to fetch and decode up to four x86 instructions from a single thread in parallel. Each of the four decoders are equally capable. Remembering that each Bulldozer module appears as two cores, the front end can only pick 4 instructions to fetch and decode from a single thread at a time. A single Bulldozer module can switch between threads as often as every clock.

Decode hardware isn't very expensive on its own, but duplicating it four times across multiple cores quickly adds up. Although decode width has increased for a single core, multi-core Bulldozer configurations can actually be at a disadvantage compared to previous AMD architectures. Let's look at the table below to understand why:

Front End Comparison
  AMD Phenom II AMD FX Intel Core i7
Instruction Decode Width 3-wide 4-wide 4-wide
Single Core Peak Decode Rate 3 instructions 4 instructions 4 instructions
Dual Core Peak Decode Rate 6 instructions 4 instructions 8 instructions
Quad Core Peak Decode Rate 12 instructions 8 instructions 16 instructions
Six/Eight Core Peak Decode Rate 18 instructions (6C) 16 instructions 24 instructions (6C)

For a single instruction thread, Bulldozer offers more front end bandwidth than its predecessor. The front end is wider and just as capable so this makes sense. But note what happens when we scale up core count.

Since fetch and decode hardware is shared per module, and AMD counts each module as two cores, given an equivalent number of cores the old Phenom II actually offers a higher peak instruction fetch/decode rate than the FX. The theory is obviously that the situations where you're fetch/decode bound are infrequent enough to justify the sharing of hardware. AMD is correct for the most part. Many instructions can take multiple cycles to decode, and by switching between threads each cycle the pipelined front end hardware can be more efficiently utilized. It's only in unusually bursty situations where the front end can become a limit.

Compared to Intel's Core architecture however, AMD is at a disadvantage here. In the high-end offerings where Intel enables Hyper Threading, AMD has zero advantage as Intel can weave in instructions from two threads every clock. It's compared to the non-HT enabled Core CPUs that the advantage isn't so clear. Intel maintains a higher instantaneous decode bandwidth per clock, however overall decoder utilization could go down as a result of only being able to fill each fetch queue from a single thread.

After the decoders AMD enables certain operations to be fused together and treated as a single operation down the rest of the pipeline. This is similar to what Intel calls micro-ops fusion, a technology first introduced in its Banias CPU in 2003. Compare + branch, test + branch and some other operations can be fused together after decode in Bulldozer—effectively widening the execution back end of the CPU. This wasn't previously possible in Phenom II and obviously helps increase IPC.

A Decoupled Branch Predictor

AMD didn't disclose too much about the configuration of the branch predictor hardware in Bulldozer, but it is quick to point out one significant improvement: the branch predictor is now significantly decoupled from the processor's front end.

The role of the branch predictor is to intercept branch instructions and predict their target address, rather than allowing for tons of cycles to go by until the branch target is known for sure. Branches are predicted based on historical data. The more data you have, and the better your branch predictors are tuned to your workload, the more accurate your predictions can be. Accurate branch prediction is particularly important in architectures with deep pipelines as a mispredict causes more instructions to be flushed out of the pipe. Bulldozer introduces a significantly deeper pipeline than its predecessor (more on this later), and thus branch prediction improvements are necessary.

In both Phenom II and Bulldozer, branches are predicted in the front end of the pipe alongside the fetch hardware. In Phenom II however, any stall in the fetch pipeline (e.g. fetching an instruction that wasn't in cache) would stop the whole pipeline including future branch predictions. Bulldozer decouples the branch prediction hardware from the fetch pipeline by way of a prediction queue. If there's a stall in the fetch pipeline, Bulldozer's branch prediction hardware is allowed to run ahead and continue making future predictions until the prediction queue is full.

We'll get to the effectiveness of this approach shortly.

Scheduling and Execution Improvements

As with Sandy Bridge, AMD migrated to a physical register file architecture with Bulldozer. Data is now only stored in one location (the physical register file) and is tracked via pointers back to the PRF as operations make their way through the execution engine. This is a move to save power as copying data around a chip is hardly power efficient.

The buffers and queues that feed into the execution engines of the chip are all larger on Bulldozer than they were on Phenom II. Larger data structures allows for better instruction level parallelism when trying to execute operations out of order. In other words, the issue hardware in Bulldozer is beefier than its predecessor.

Unfortunately where AMD took one step forward in issue hardware, it does a bit of a shuffle when it comes to execution resources themselves. Let's start with the positive: Bulldozer's integer execution cores.

Integer Execution

Each Bulldozer module features two fully independent integer cores. Each core has its own integer scheduler, register file and 16KB L1 data cache. The integer schedulers are both larger than their counterparts in the Phenom II.

The biggest change here is each integer core now has two ports instead of three. A single integer core features two AGU/ALU ports, compared to three in the previous design. AMD claims the third ALU/AGU pair went mostly unused in Phenom II, and as a result it's been removed from Bulldozer.

With larger structures feeding into the integer cores, AMD should be able to have an easier time of making use of the integer units than in previous designs. AMD could, in theory, execute more integer operations per core in Phenom II however AMD claims the architecture was typically bound elsewhere.

The Shared FP Core

A single Bulldozer module has a single shared FP core for use by up to two threads. If there's only a single FP thread available, it is given full access to the FP execution hardware, otherwise the resources are shared between the two threads.

Compared to a quad-core Phenom II, AMD's eight-core (quad-module) FX sees no drop in floating point execution resources. AMD's architecture has always had independent scheduling for integer and floating point instructions, and we see the same number of execution ports between Phenom II cores and FX modules. Just as is the case with the integer cores, the shared FP core in a Bulldozer module has larger scheduling hardware in front of it than the FPU in Phenom II.

The problem is AMD had to increase the functionality of its FPU with the move to Bulldozer. The Phenom II architecture lacks SSE4 and AVX support, both of which were added in Bulldozer. Furthermore, AMD chose Bulldozer as the architecture to include support for fused multiply-add instructions (FMA). Enabling FMA support also increases the relative die area of the FPU. So while the throughput of Bulldozer's FPU hasn't increased over K8, its capabilities have. Unfortunately this means that peak FP throughput running x87/SSE2/3 workloads remains unchanged compared to the previous generation. Bulldozer will only be faster if newer SSE, AVX or FMA instructions are used, or if its clock speed is significantly higher than Phenom II.

Looking at our Cinebench 11.5 multithreaded workload we see the perfect example of this performance shuffle:

Cinebench 11.5—Multi-Threaded

Despite a 9% higher base clock speed (more if you include turbo core), a 3.6GHz 8-core Bulldozer is only able to outperform a 3.3GHz 6-core Phenom II by less than 2%. Heavily threaded floating point workloads may not see huge gains on Bulldozer compared to their 6-core predecessors.

There's another issue. Bulldozer, at least at launch, won't have to simply outperform its quad-core predecessor. It will need to do better than a six-core Phenom II. In this comparison unfortunately, the Phenom II has the definite throughput advantage. The Phenom II X6 can execute 50% more SSE2/3 and x87 FP instructions than a Bulldozer based FX.

Since the release of the Phenom II X6, AMD's major advantage has been in heavily threaded workloads—particularly floating point workloads thanks to the sheer number of resources available per chip. Bulldozer actually takes a step back in this regard and as a result, you will see some of those same workloads perform worse, if not the same as the outgoing Phenom II X6.

Compared to Sandy Bridge, Bulldozer only has two advantages in FP performance: FMA support and higher 128-bit AVX throughput. There's very little code available today that uses AMD's FMA instruction, while the 128-bit AVX advantage is tangible.

Cache Hierarchy and Memory Subsystem

Each integer core features its own dedicated L1 data cache. The shared FP core sends loads/stores through either of the integer cores, similar to how it works in Phenom II although there are two integer cores to deal with now instead of just one. Bulldozer enables fully out-of-order loads and stores, an improvement over Phenom II putting it on parity with current Intel architectures. The L1 instruction cache is shared by the entire bulldozer module, as is the L2 cache.

The instruction cache is a large 64KB 2-way set associative cache, similar in size to the Phenom II's L1 cache but obviously shared by more "cores". A four-core Phenom II would have 256KB of total L1 I-Cache, while a four core Bulldozer will have half of that. The L1 data caches are also significantly smaller than Bulldozer's predecessor. While Phenom II offered a 64KB L1 D-Cache per core, Bulldozer only offers 16KB per integer core.

The L2 cache is much larger than what we saw in multi-core Phenom II designs however. Each Bulldozer module has a private 2MB L2 cache.

There's a single 8MB L3 cache that's shared among all Bulldozer modules on a chip. In its first incarnation, AMD has no plans to offer a desktop part without an L3 cache. However AMD indicated that the L3 cache was only really useful in server workloads and we might expect future Bulldozer derivatives (ahem, Trinity?) to forgo the L3 cache entirely as a result.

Cache accesses require more clocks in Bulldozer, due to a combination of size and AMD's desire to make Bulldozer a very high clock speed part...

Introduction The Pursuit of Clock Speed
Comments Locked

430 Comments

View All Comments

  • sanityvoid - Wednesday, October 12, 2011 - link

    What really needs to happen is that AMD needs to merge/be bought by IBM. Let the CPU chips come from a big company that knows chips.

    The ATI side of AMD is pretty good. I don't know what the answer is, but it shows that AMD needs some help in getting back up to Intel's level.
  • SKYSTAR - Wednesday, October 12, 2011 - link

    horrible gaming performance , AMD rest in peace (like the undertaker said )
  • Burticus - Wednesday, October 12, 2011 - link

    Years of wasted development effort. Why couldn't they have just die shrunk a couple Phenom II x4 or x6's onto 1 chip?

    Looks like I know what my new build will be... i5 or i7. Sad days. I have been AMD exclusive since that awful Prescott P4 I bought back in 2003.
  • bcanthack - Wednesday, October 12, 2011 - link

    This is interesting, even though the bulldozer release was today with what is considered bad results, their stock price is up almost 4%? Is it possible the investors know something the customers don't?
  • GatorLord - Wednesday, October 12, 2011 - link

    The financial press reported that AMD was the second most 'upgraded' stock in the S&P 500 in the past week...with 3 upgrades from analysts...it's contextual though since they are upgrading it from poor ratings like sell, hold, or market underperform.

    The bigger reason is that when they reported the GloFo headaches as they are required to do, the investors brutalized the stock and drove it below $5...once it went below $5 a lot of pension funds, etc... have rules against holding 'penny stocks' and then they had to sell driving it even lower, eventually to $4.31 when it bottomed out.

    At that point, the stock purely on a P/E basis is cheap even with the headaches and folks like me step in figuring that even if they get a little traction there's a huge upside...for all the bitching, manufacturing problems and glitches, etc... almost always get worked out. Most processes have positive dynamic stability, meaning that things tend to return to a mean steady state, so if it's well below the mean for temporary reasons, it'll go back up and vice versa.

    The buyers are just putting the stock closer to where it needs to be based on the ground truth...that said, it's still cheap as hell and could triple just to get to the S&P average P/E. This is one of those times when you'll look back and say, I could've had AMD below $5.
  • saneblane - Wednesday, October 12, 2011 - link

    The linux scheduler is more advance than the one in windows, so if windows can't deal with the cpu, let's see if it works the way it should under the linux environment. The reviews on the net are way to inconsistent on windows, legit reviews have the bulldozer cpu, neck to neck with the i7 2600, check it out. http://www.legitreviews.com/article/1741/1/
  • jellowiggler - Wednesday, October 12, 2011 - link

    The article states that more clock speed is needed to negate the architectural limits on single threaded performance. Then in the very brief OCing results you indicate that the processor will easily clock from 3.6 default to 4.6. But there are no bench marks of how that impacts the performance in any of the tests.

    I wan to know if I can buy a processor for $250 that will OC to 4.6 on stock cooling which makes it competitive. Both in single thread applications, and stomps other CPUs out at muli-threaded tasks at that speed.

    Lets is the OC results and temps compared to the regular benches please.
  • jellowiggler - Wednesday, October 12, 2011 - link

    Sorry for the grammar. My iphone ate my post.
  • mythun.chandra - Wednesday, October 12, 2011 - link

    In the Rage vt_benchmark section, you mention

    "Since transcoding is done by the CPU this is a pure CPU benchmark."

    This is true only if you're using non-NVIDIA GPU's. For people using NVIDIA GPU's not more than a couple of years old, a lot of the texture transcoding is offloaded from the CPU and done on the GPU using CUDA, and this gives a pretty good speedup.
  • silverblue - Wednesday, October 12, 2011 - link

    ...this article is worth looking at:

    http://www.hardwareheaven.com/reviews/1285/pg1/amd...

    They used an ASRock board, however their gaming tests seemed to push towards a GPU limit (using a 6950), rather than a CPU limit. However, one might argue that you would really be pairing a fast card with such a processor and using very high settings.

    There's something very fishy going on here as well. Take a look at these two pages:

    http://www.tomshardware.com/reviews/fx-8150-zambez...
    http://www.hardwareheaven.com/reviews/1285/pg11/am...

    The 580 and CVF-equipped setup is being trounced by the 6950 and Extreme4 setup. What gives? As most of the reviews I've seen have been based upon on the CVF motherboard, is it entirely possible that *gasp* ASUS made a bad board/BIOS, or is there something rather odd about HH's setup?

    Discuss!

Log in

Don't have an account? Sign up now