The Architecture

We'll start, logically, at the front end of a Bulldozer module. The fetch and decode logic in each module is shared by both integer cores. The role this logic plays is to fetch the next instruction in the thread being executed, decode the x86 instruction into AMD's own internal format, and pass the decoded instruction onto the scheduling hardware for execution.

AMD widened the K8 front end with Bulldozer. Each module is now able to fetch and decode up to four x86 instructions from a single thread in parallel. Each of the four decoders are equally capable. Remembering that each Bulldozer module appears as two cores, the front end can only pick 4 instructions to fetch and decode from a single thread at a time. A single Bulldozer module can switch between threads as often as every clock.

Decode hardware isn't very expensive on its own, but duplicating it four times across multiple cores quickly adds up. Although decode width has increased for a single core, multi-core Bulldozer configurations can actually be at a disadvantage compared to previous AMD architectures. Let's look at the table below to understand why:

Front End Comparison
  AMD Phenom II AMD FX Intel Core i7
Instruction Decode Width 3-wide 4-wide 4-wide
Single Core Peak Decode Rate 3 instructions 4 instructions 4 instructions
Dual Core Peak Decode Rate 6 instructions 4 instructions 8 instructions
Quad Core Peak Decode Rate 12 instructions 8 instructions 16 instructions
Six/Eight Core Peak Decode Rate 18 instructions (6C) 16 instructions 24 instructions (6C)

For a single instruction thread, Bulldozer offers more front end bandwidth than its predecessor. The front end is wider and just as capable so this makes sense. But note what happens when we scale up core count.

Since fetch and decode hardware is shared per module, and AMD counts each module as two cores, given an equivalent number of cores the old Phenom II actually offers a higher peak instruction fetch/decode rate than the FX. The theory is obviously that the situations where you're fetch/decode bound are infrequent enough to justify the sharing of hardware. AMD is correct for the most part. Many instructions can take multiple cycles to decode, and by switching between threads each cycle the pipelined front end hardware can be more efficiently utilized. It's only in unusually bursty situations where the front end can become a limit.

Compared to Intel's Core architecture however, AMD is at a disadvantage here. In the high-end offerings where Intel enables Hyper Threading, AMD has zero advantage as Intel can weave in instructions from two threads every clock. It's compared to the non-HT enabled Core CPUs that the advantage isn't so clear. Intel maintains a higher instantaneous decode bandwidth per clock, however overall decoder utilization could go down as a result of only being able to fill each fetch queue from a single thread.

After the decoders AMD enables certain operations to be fused together and treated as a single operation down the rest of the pipeline. This is similar to what Intel calls micro-ops fusion, a technology first introduced in its Banias CPU in 2003. Compare + branch, test + branch and some other operations can be fused together after decode in Bulldozer—effectively widening the execution back end of the CPU. This wasn't previously possible in Phenom II and obviously helps increase IPC.

A Decoupled Branch Predictor

AMD didn't disclose too much about the configuration of the branch predictor hardware in Bulldozer, but it is quick to point out one significant improvement: the branch predictor is now significantly decoupled from the processor's front end.

The role of the branch predictor is to intercept branch instructions and predict their target address, rather than allowing for tons of cycles to go by until the branch target is known for sure. Branches are predicted based on historical data. The more data you have, and the better your branch predictors are tuned to your workload, the more accurate your predictions can be. Accurate branch prediction is particularly important in architectures with deep pipelines as a mispredict causes more instructions to be flushed out of the pipe. Bulldozer introduces a significantly deeper pipeline than its predecessor (more on this later), and thus branch prediction improvements are necessary.

In both Phenom II and Bulldozer, branches are predicted in the front end of the pipe alongside the fetch hardware. In Phenom II however, any stall in the fetch pipeline (e.g. fetching an instruction that wasn't in cache) would stop the whole pipeline including future branch predictions. Bulldozer decouples the branch prediction hardware from the fetch pipeline by way of a prediction queue. If there's a stall in the fetch pipeline, Bulldozer's branch prediction hardware is allowed to run ahead and continue making future predictions until the prediction queue is full.

We'll get to the effectiveness of this approach shortly.

Scheduling and Execution Improvements

As with Sandy Bridge, AMD migrated to a physical register file architecture with Bulldozer. Data is now only stored in one location (the physical register file) and is tracked via pointers back to the PRF as operations make their way through the execution engine. This is a move to save power as copying data around a chip is hardly power efficient.

The buffers and queues that feed into the execution engines of the chip are all larger on Bulldozer than they were on Phenom II. Larger data structures allows for better instruction level parallelism when trying to execute operations out of order. In other words, the issue hardware in Bulldozer is beefier than its predecessor.

Unfortunately where AMD took one step forward in issue hardware, it does a bit of a shuffle when it comes to execution resources themselves. Let's start with the positive: Bulldozer's integer execution cores.

Integer Execution

Each Bulldozer module features two fully independent integer cores. Each core has its own integer scheduler, register file and 16KB L1 data cache. The integer schedulers are both larger than their counterparts in the Phenom II.

The biggest change here is each integer core now has two ports instead of three. A single integer core features two AGU/ALU ports, compared to three in the previous design. AMD claims the third ALU/AGU pair went mostly unused in Phenom II, and as a result it's been removed from Bulldozer.

With larger structures feeding into the integer cores, AMD should be able to have an easier time of making use of the integer units than in previous designs. AMD could, in theory, execute more integer operations per core in Phenom II however AMD claims the architecture was typically bound elsewhere.

The Shared FP Core

A single Bulldozer module has a single shared FP core for use by up to two threads. If there's only a single FP thread available, it is given full access to the FP execution hardware, otherwise the resources are shared between the two threads.

Compared to a quad-core Phenom II, AMD's eight-core (quad-module) FX sees no drop in floating point execution resources. AMD's architecture has always had independent scheduling for integer and floating point instructions, and we see the same number of execution ports between Phenom II cores and FX modules. Just as is the case with the integer cores, the shared FP core in a Bulldozer module has larger scheduling hardware in front of it than the FPU in Phenom II.

The problem is AMD had to increase the functionality of its FPU with the move to Bulldozer. The Phenom II architecture lacks SSE4 and AVX support, both of which were added in Bulldozer. Furthermore, AMD chose Bulldozer as the architecture to include support for fused multiply-add instructions (FMA). Enabling FMA support also increases the relative die area of the FPU. So while the throughput of Bulldozer's FPU hasn't increased over K8, its capabilities have. Unfortunately this means that peak FP throughput running x87/SSE2/3 workloads remains unchanged compared to the previous generation. Bulldozer will only be faster if newer SSE, AVX or FMA instructions are used, or if its clock speed is significantly higher than Phenom II.

Looking at our Cinebench 11.5 multithreaded workload we see the perfect example of this performance shuffle:

Cinebench 11.5—Multi-Threaded

Despite a 9% higher base clock speed (more if you include turbo core), a 3.6GHz 8-core Bulldozer is only able to outperform a 3.3GHz 6-core Phenom II by less than 2%. Heavily threaded floating point workloads may not see huge gains on Bulldozer compared to their 6-core predecessors.

There's another issue. Bulldozer, at least at launch, won't have to simply outperform its quad-core predecessor. It will need to do better than a six-core Phenom II. In this comparison unfortunately, the Phenom II has the definite throughput advantage. The Phenom II X6 can execute 50% more SSE2/3 and x87 FP instructions than a Bulldozer based FX.

Since the release of the Phenom II X6, AMD's major advantage has been in heavily threaded workloads—particularly floating point workloads thanks to the sheer number of resources available per chip. Bulldozer actually takes a step back in this regard and as a result, you will see some of those same workloads perform worse, if not the same as the outgoing Phenom II X6.

Compared to Sandy Bridge, Bulldozer only has two advantages in FP performance: FMA support and higher 128-bit AVX throughput. There's very little code available today that uses AMD's FMA instruction, while the 128-bit AVX advantage is tangible.

Cache Hierarchy and Memory Subsystem

Each integer core features its own dedicated L1 data cache. The shared FP core sends loads/stores through either of the integer cores, similar to how it works in Phenom II although there are two integer cores to deal with now instead of just one. Bulldozer enables fully out-of-order loads and stores, an improvement over Phenom II putting it on parity with current Intel architectures. The L1 instruction cache is shared by the entire bulldozer module, as is the L2 cache.

The instruction cache is a large 64KB 2-way set associative cache, similar in size to the Phenom II's L1 cache but obviously shared by more "cores". A four-core Phenom II would have 256KB of total L1 I-Cache, while a four core Bulldozer will have half of that. The L1 data caches are also significantly smaller than Bulldozer's predecessor. While Phenom II offered a 64KB L1 D-Cache per core, Bulldozer only offers 16KB per integer core.

The L2 cache is much larger than what we saw in multi-core Phenom II designs however. Each Bulldozer module has a private 2MB L2 cache.

There's a single 8MB L3 cache that's shared among all Bulldozer modules on a chip. In its first incarnation, AMD has no plans to offer a desktop part without an L3 cache. However AMD indicated that the L3 cache was only really useful in server workloads and we might expect future Bulldozer derivatives (ahem, Trinity?) to forgo the L3 cache entirely as a result.

Cache accesses require more clocks in Bulldozer, due to a combination of size and AMD's desire to make Bulldozer a very high clock speed part...

Introduction The Pursuit of Clock Speed
Comments Locked

430 Comments

View All Comments

  • vtohthree - Wednesday, October 12, 2011 - link

    ..but because Intel doesn't have to try hard to compete, here we were sitting as consumers waiting for a proper response from AMD so that Intel would be on their toes to unleash more potential in their chips or lower prices, but this is sort of sad...
  • ET - Wednesday, October 12, 2011 - link

    I recently bought a Phenom II X6 1090T to upgrade an X3 710. Looks like a better deal now. BD's power draw in particular is disappointing. No doubt Intel is what I'd recommend to others. I've have been using AMD CPU's for several years now (Athlon XP 2100+ was my first), and I still like AMD, but I'm disappointed by BD, especially after the long wait.
  • Loki726 - Wednesday, October 12, 2011 - link

    Thanks Anand for the compiler benchmarks.

    It seems like performance on bulldozer is highly application dependent, better at data-parallel and worse (even than Phenom) on irregular and sequential applications.

    I'll probably skip this one.

    I don't mind this tradeoff, but the problem is that AMD already has a good data-parallel architecture (their GPU). I'n my opinion they are moving their CPU in the wrong direction. No one wants an x86 throughput processor. They shouldn't be moving the CPU towards the GPU architecture.

    AMD: Don't pay the OOO/complex decoder penalty for all of your cores. If your app is running on multiple cores, it is obviously parallel. Don't add hardware that wastes power trying to rediscover it. Then, throw all your power budget at a single or a few x86 cores.
    Beat Intel at single threaded perf and then use your GPU for gaming, and throughput processing (video, encryption, etc).

    I'm not a fan of Intel, but they got this right. If they get over their x86 obsession and get their data-parallel act together they are going to completely dominate the desktop and high end.
  • dubyadubya - Wednesday, October 12, 2011 - link

    Care to share which tests are 64 bit? Each bench program used must specify if its 32 or 64 bit. Why do all review sites forget to includet this critical info? From the limited results I can find on the net AMD see's a large performance increase running 64 bit code over 32 bit code while Intel see's little if any increase.
  • HangFire - Wednesday, October 12, 2011 - link

    I've got an Asus board that promises to support BD, and I've holding off upgrading my unlocked/overclocked 550BE for literally months, and for this? I might as well just get a Phenom II quad or 6-core.

    I've said all along that AMD needs to address their clock versus instruction efficiency to be competitive. To do that they need to redesign their cores and stop dragging along their old K8 cores.

    So here we are with Bulldozer, Wider front end, TurboCore now works, floating point decoupled, 8 (int) cores, and... still flogging the same instruction efficiency as the old K8 cores (at least, the integer portion of them).

    Oh, yeah, I'm sure at the right price point some server farms will be happy with them, and priced low enough, they can hold on to the value portion of the marketplace. To do both they'll have to compete aggressively on price, and be prepared to lose money, both of which they seem to be good at.

    Like Anand said, we need to see someone actually compete with Intel, but it appears that AMD has lost the ability to invent new processor cores, it can only manipulate existing designs. Instead of upgrading the CPU, it looks like I'll go for a full Intel upgrade, unless I can find an 1100T real cheap. Hmm, that's probably a real possibility. I'm sure a lot of AMD fans are going to be trading them in now that they see what their AM3 upgrade path is(n't).
  • alpha754293 - Wednesday, October 12, 2011 - link

    I think that you should clarify the difference between what you call "server" workloads (i.e. OLTP/virtualization vs. HPC).

    I suspect that with one shared FP between two ALUs; HPC performance is going to suffer.

    The somewhat-computationally intensive benchmarks that you've shown today already gives an early indication that the Bulldozer-based Opterons are going to suffer greatly with HPC benchmarks.

    On that note: I would like to see you guys run the standard NCAC LS-DYNA benchmarks or the Fluent benchmarks if you can get them. They'll give me a really good idea as to how server processors perform in HPC applications (besides the ones that you guys have been running already). Johan has my email, so feel free to ask if you've got questions about them.
  • Ananke - Wednesday, October 12, 2011 - link

    Bulldozer reminds me of Sun's (Oracle) Niagara architecture. It seems AMD aimed the server and professional market. It makes business sense. The profit margins there are net 50-60% (this is AFTER the marketing, support, etc overhead costs) and along with the high performance work stations is the only growing market as of now. Hence, the stock market lifted the stocks of AMD. Gaming and enthusiast market is around 0.7% of CPU revenue - yep, that is, I work with this kind of statistics data, guys.

    This is a promising architecture (despite the fact that is not good for home enthusiasts). AMD should focus on providing more I/O lanes through the CPU - aka PCI lanes on cheaper boards without requirement of additional chips. It will allow placing more GPUs using overall cheaper infrastructure - exactly the way HPC and server market is evolving. Then, they should really get a good software team and make/support/promote SDK for general GPU computing in line of what NVidia did with CUDA.

    For anything mainstream / aka Best Buy, Walmart, etc./ Llano is good enough.

    As I said, this Bulldozer chip apparently is not good for enthusiasts, and Anandtech is an enthusiast site, but unfortunately this is just a very small niche market. People should not bash a product, because it doesn't fit only their needs. It is OK for the vast market.
  • GatorLord - Wednesday, October 12, 2011 - link

    Thanks for the VERY interesting stats. I had a hunch it made good sense, but since I don't work with these data it was just a hunch in the end. Now it's better...maybe a hunch plus. We should feel lucky that they even pay any attention to this segment...I suspect they do b/c a lot of decision influencers are also computer racers at home.
  • alpha754293 - Thursday, October 13, 2011 - link

    One thing that I will also say/add is that while people are perhaps grossly disappointed with the results; think about it this way:

    What you've really got is a quad-core (I don't count their ALUs as cores, just FPUs) processor doing a 6-core job.

    So if they went to a 6-module chip, the benefits can actually be substantial.

    And on the 8-module server processor, it can be bigger even still.

    And yes, this is very much like the UltraSPARC T-series (which, originally was designed by UltraDense as a network switching chip), but even they eventually added a FPU per core, rather than just one FPU per chip.

    The downside to the 8-module chip is a) it's going to be massive, and b) it won't be at the clock speeds it NEEDs to be to compete.
  • Icehawk - Wednesday, October 12, 2011 - link

    I quickly ran the Rage vt_benchmark and got ~.64 @ 1thread and .25 for 2-6 threads which is what your Intel #s line up with - BUT I'm running a Q6600, 4gb, and a GTS 250... shouldn't I see much worse scores compared to a i7/current get video card? Is this something to do with Rage's *awesome* textures or?

Log in

Don't have an account? Sign up now